HALF ADDER GATE CODE by Behavioral - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

205


36
 
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
entity H_adder_tb is
4
end H_adder_tb;
5
6
architecture Behavioral of H_adder_tb is
7
 component Half_adder is
8
  Port (A,B:in std_logic;
9
  sum,carry: out std_logic );
10
end component;
11
--inputs
12
signal a: std_logic:= '0';
13
signal b: std_logic:= '0';
14
--outputs
15
signal sum,carry : std_logic;
16
17
begin
18
uut: Half_adder PORT MAP(a=>A,b=>B,sum=>sum,carry=>carry);
19
--Stimulus Process
20
stim_proc:process
21
begin
22
wait for 10ns;
23
a<='1';
24
b<='0';
25
wait for 10ns;
26
a<='0';
27
b<='1';
28
wait for 10ns;
29
a<='0';
30
b<='0';
31
wait for 10ns;
32
a<='1';
33
b<='1';
34
wait for 10ns;
35
end process;
36
end Behavioral;
xxxxxxxxxx
1
30
 
1
library IEEE;
2
use IEEE.std_logic_1164.all;
3
4
entity Half_adder is
5
port(
6
a,b : IN std_logic;
7
sum,carry : OUT std_logic);
8
end Half_adder;
9
10
architecture Behavioral of Half_adder is
11
begin
12
13
  process(a,b)
14
  begin
15
  if (a='0' and b = '0') then 
16
    sum <= '0';
17
    carry <= '0';
18
  elsif (a='1' and b = '0') then 
19
    sum <= '1';
20
    carry <= '0';
21
  elsif (a='0' and b = '1') then 
22
    sum <= '1';
23
    carry <= '0';
24
  else
25
    sum <= '0';
26
    carry <= '1';
27
  end if;
28
  end process;
29
30
end Behavioral;
47 views and 1 likes     
A short description will be helpful for you to remember your playground's details
 
100:0