VHDL-2008 Dictionary - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

204


92
 
1
library ieee;
2
  use ieee.std_logic_1164.all;
3
4
5
6
package DictP is
7
8
9
  generic (type G_KEY_TYPE;
10
           type G_DATA_TYPE);
11
12
  -- public interface
13
  type t_dict is protected
14
15
    procedure set  (key : in G_KEY_TYPE; data : in G_DATA_TYPE);
16
    procedure get  (key : in G_KEY_TYPE; data : out G_DATA_TYPE; err : out boolean);
17
18
  end protected t_dict;
19
20
21
end package DictP;
22
23
24
25
package body DictP is
26
27
28
  type t_dict is protected body
29
30
31
    -- private types
32
    type t_key;
33
    type t_key_ptr is access t_key;
34
35
    type t_key is record
36
      key        : G_KEY_TYPE;
37
      data       : G_DATA_TYPE;
38
      last_entry : t_key_ptr;
39
      next_entry : t_key_ptr;
40
    end record t_key;
41
42
    -- private properties
43
    variable v_head    : t_key_ptr := null;
44
45
    -- private method
46
    impure function find (key : G_KEY_TYPE) return t_key_ptr;
47
48
    -- Add a given key to given data in the dictionary
49
    procedure set (key : in G_KEY_TYPE; data : in G_DATA_TYPE) is
50
    begin
51
      if (v_head /= null) then
52
        v_head := new t_key'(key, data, v_head, null);
53
        v_head.last_entry.next_entry := v_head;
54
      else
55
        v_head := new t_key'(key, data, null, null);  -- Here the eror occurs in ModelSim
56
      end if;
57
    end procedure set;
58
    
59
    -- Get value of a given key from the dictionary
60
    procedure get (key : in G_KEY_TYPE; data : out G_DATA_TYPE; err : out boolean) is
61
      variable v_entry : t_key_ptr := null;
62
    begin
63
      v_entry := find(key);
64
      if (v_entry /= null) then
65
        data := v_entry.data;
66
        err  := false;
67
      else
68
        err := true;
69
      end if;
70
    end procedure get;
71
 
72
    -- Find a given key in the dict (internal method)
73
    impure function find (key : G_KEY_TYPE) return t_key_ptr is
74
      variable v_entry : t_key_ptr := v_head;
75
    begin
76
      while (v_entry /= null) loop
77
        if (v_entry.key = key) then
78
          return v_entry;
79
        end if;
80
        if (v_entry.last_entry = null) then
81
          return null;
82
        else
83
          v_entry := v_entry.last_entry;
84
        end if;
85
      end loop;
86
      return null;
87
    end function find;
88
89
  end protected body t_dict;
90
91
end package body DictP;
92
13
 
1
-- Code your design here
2
library IEEE;
3
use IEEE.std_logic_1164.all;
4
5
6
entity dummy is
7
end entity dummy;
8
9
10
architecture sim of dummy is
11
begin
12
end architecture sim;
13
243 views and 0 likes     
 
Test case for potentially bug in ModelSim.

Test case for potentially bug in ModelSim.

170:0