EDA Playground lets you type in and run HDL code (using a selection of free and commercial simulators and synthesizers).
It's great for learning HDLs, it's great for testing out unfamiliar things and it's great for sharing code.
You can start typing straight away. But to run your code, you'll need to sign or log in. Logging in with a Google account gives you access to all non-commercial simulators and some commercial simulators:
To run commercial simulators, you need to register and log in with a username and password. Registration is free, and only pre-approved email's will have access to the commercial simulators.
* not available.
204
library ieee;
use ieee.std_logic_1164.all;
entity SimulationT is
end entity SimulationT;
architecture sim of SimulationT is
-- generic package instantiation
package TestDict is new work.DictP
generic map (G_KEY_TYPE => string,
G_DATA_TYPE => std_logic_vector
);
begin
DictP : process is
variable sv_dict : TestDict.t_dict;
variable v_word : std_logic_vector(15 downto 0);
variable v_error : boolean;
begin
sv_dict.set("0", x"0000"); -- error occurs with ModelSim DE 10.4c in line 55 of DictP.vhd
sv_dict.get("0", v_word, v_error);
assert v_word = x"0000"
report "ERROR: got 0x" & to_hstring(v_word) & " but expected 0x0000"
severity failure;
wait;
end process DictP;
end architecture sim;
library ieee;
use ieee.std_logic_1164.all;
package DictP is
generic (type G_KEY_TYPE;
type G_DATA_TYPE);
-- public interface
type t_dict is protected
procedure set (key : in G_KEY_TYPE; data : in G_DATA_TYPE);
procedure get (key : in G_KEY_TYPE; data : out G_DATA_TYPE; err : out boolean);
end protected t_dict;
end package DictP;
package body DictP is
type t_dict is protected body
-- private types
type t_key;
type t_key_ptr is access t_key;
type t_key is record
key : G_KEY_TYPE;
data : G_DATA_TYPE;
last_entry : t_key_ptr;
next_entry : t_key_ptr;
end record t_key;
-- private properties
variable v_head : t_key_ptr := null;
-- private method
impure function find (key : G_KEY_TYPE) return t_key_ptr;
-- Add a given key to given data in the dictionary
procedure set (key : in G_KEY_TYPE; data : in G_DATA_TYPE) is
begin
if (v_head /= null) then
v_head := new t_key'(key, data, v_head, null);
v_head.last_entry.next_entry := v_head;
else
v_head := new t_key'(key, data, null, null); -- Here the eror occurs in ModelSim
end if;
end procedure set;
-- Get value of a given key from the dictionary
procedure get (key : in G_KEY_TYPE; data : out G_DATA_TYPE; err : out boolean) is
variable v_entry : t_key_ptr := null;
begin
v_entry := find(key);
if (v_entry /= null) then
data := v_entry.data;
err := false;
else
err := true;
end if;
end procedure get;
-- Find a given key in the dict (internal method)
impure function find (key : G_KEY_TYPE) return t_key_ptr is
variable v_entry : t_key_ptr := v_head;
begin
while (v_entry /= null) loop
if (v_entry.key = key) then
return v_entry;
end if;
if (v_entry.last_entry = null) then
return null;
else
v_entry := v_entry.last_entry;
end if;
end loop;
return null;
end function find;
end protected body t_dict;
end package body DictP;
-- Code your design here
library IEEE;
use IEEE.std_logic_1164.all;
entity dummy is
end entity dummy;
architecture sim of dummy is
begin
end architecture sim;
Your account is not validated. If you wish to use commercial simulators, you need a validated account.
If you have already registered (or have recently changed your email address), but have not clicked on the link in the email we sent you, please do so. If you cannot find the email, please check your spam/junk folder. Or click here to resend the email.
If you have not already registered for a full account, you can do so by clicking below. You will then need to provide us with some identification information. You may wish to save your code first.
Creating, deleting, and renaming files is not supported during Collaboration. To encourage development of these features for Collaboration, tweet to @EDAPlayground
This playground may have been modified. Please save or copy before starting collaboration.
Your exercise has been submitted.