Edit code - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

203


17
 
1
//----------------------------------------------------------------------------------
2
//                      www.verificationguide.com
3
//----------------------------------------------------------------------------------
4
module event_ctrl;
5
  bit clk;
6
  always #2 clk = ~clk;
7
  
8
  //always block will be executed at every posedge of clk signal
9
  always @(posedge clk)
10
  begin
11
    $display($time,"\tInside always block");
12
  end
13
14
  initial begin
15
    #20 $finish;
16
  end
17
endmodule
2
 
1
// Code your design here
2
900 views and 0 likes     
A short description will be helpful for you to remember your playground's details
 
100:0