Code3: Working with Verbosity level.sv - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

208


11
 
1
`include "uvm_macros.svh"
2
import uvm_pkg::*;
3
4
module top;
5
    initial begin
6
      $display("Top_tb: %0d",uvm_top.get_report_verbosity_level);
7
      #10;
8
      uvm_top.set_report_verbosity_level(UVM_HIGH);
9
       `uvm_info("Tb_top","string",UVM_HIGH)
10
    end
11
endmodule
xxxxxxxxxx
1
 
1
// Code your design here
2
72 views and 0 likes     
A short description will be helpful for you to remember your playground's details
 
100:0