SystemVerilog TestBench Example code without Monit - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

204


54
 
1
//-------------------------------------------------------------------------
2
//              www.verificationguide.com   testbench.sv
3
//-------------------------------------------------------------------------
4
//tbench_top or testbench top, this is the top most file, in which DUT(Design Under Test) and Verification environment are connected. 
5
//-------------------------------------------------------------------------
6
7
//including interfcae and testcase files
8
`include "interface.sv"
9
10
//-------------------------[NOTE]---------------------------------
11
//Particular testcase can be run by uncommenting, and commenting the rest
12
//`include "random_test.sv"
13
//`include "wr_rd_test.sv"
14
`include "default_rd_test.sv"
15
//----------------------------------------------------------------
16
17
module tbench_top;
18
  
19
  //clock and reset signal declaration
20
  bit clk;
21
  bit reset;
22
  
23
  //clock generation
24
  always #5 clk = ~clk;
25
  
26
  //reset Generation
27
  initial begin
28
    reset = 1;
29
    #5 reset =0;
30
  end
31
  
32
  
33
  //creatinng instance of interface, inorder to connect DUT and testcase
34
  mem_intf intf(clk,reset);
35
  
36
  //Testcase instance, interface handle is passed to test as an argument
37
  test t1(intf);
38
  
39
  //DUT instance, interface signals are connected to the DUT ports
40
  memory DUT (
41
    .clk(intf.clk),
42
    .reset(intf.reset),
43
    .addr(intf.addr),
44
    .wr_en(intf.wr_en),
45
    .rd_en(intf.rd_en),
46
    .wdata(intf.wdata),
47
    .rdata(intf.rdata)
48
   );
49
  
50
  //enabling the wave dump
51
  initial begin 
52
    $dumpfile("dump.vcd"); $dumpvars;
53
  end
54
endmodule
37
 
1
module memory
2
  #(  
3
  parameter ADDR_WIDTH = 2,
4
  parameter DATA_WIDTH = 8
5
  )
6
  (
7
    input clk,
8
    input reset,
9
    
10
    //control signals
11
    input [ADDR_WIDTH-1:0]  addr,
12
    input                   wr_en,
13
    input                   rd_en,
14
    
15
    //data signals
16
    input  [DATA_WIDTH-1:0] wdata,
17
    output [DATA_WIDTH-1:0] rdata
18
  ); 
19
  
20
  reg [DATA_WIDTH-1:0] rdata;
21
  
22
  //Memory
23
  reg [DATA_WIDTH-1:0] mem [2**ADDR_WIDTH];
24
25
  //Reset 
26
  always @(posedge reset) 
27
    for(int i=0;i<2**ADDR_WIDTH;i++) mem[i]=8'hFF;
28
   
29
  // Write data to Memory
30
  always @(posedge clk) 
31
    if (wr_en)    mem[addr] <= wdata;
32
33
  // Read data from memory
34
  always @(posedge clk)
35
    if (rd_en) rdata <= mem[addr];
36
37
endmodule
18951 views and 16 likes     
 
SystemVerilog TestBench Example code. www.verificationguide.com

SystemVerilog TestBench Example code. www.verificationguide.com

170:0