UVM sequence detector(1) - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

205


22
 
1
`ifndef SEQ_SEQUENCE
2
`define SEQ_SEQUENCE
3
4
//`include "seq_item.sv"
5
class seq_sequence extends uvm_sequence#(seq_item);
6
  `uvm_object_utils(seq_sequence)
7
  
8
  function new(string name="seq_sequence");
9
    super.new(name);
10
  endfunction
11
  
12
  seq_item seq_h;
13
  
14
  virtual task body;
15
    repeat(20)begin
16
      seq_h=seq_item::type_id::create("seq_h");
17
      `uvm_do(seq_h);
18
    end
19
  endtask
20
endclass
21
               
22
`endif
5
 
1
interface seq_if;
2
   logic in;
3
  logic out;
4
5
endinterface
488 views and 0 likes     
A short description will be helpful for you to remember your playground's details
 
100:0