event.sysytem_verilog - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

204


25
 
1
2
class sample;
3
event e2;
4
5
  task run(event e1);
6
    //#1;
7
    $display("process 3");
8
  endtask
9
endclass 
10
11
module top;
12
  sample s=new();
13
  event e;
14
initial begin 
15
    #1;
16
    wait(e.triggered());
17
    $display("process 1");
18
 end
19
initial begin
20
  #2;
21
  $display("process 2");
22
  ->e;
23
  s.run(e);
24
end
25
endmodule
2
 
1
// Code your design here
2
22 views and 0 likes     
A short description will be helpful for you to remember your playground's details
 
100:0