APB Assignment Skelton - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

206


35
 
1
`ifndef APB_BASE_TEST_SV
2
`define APB_BASE_TEST_SV
3
4
//--------------------------------------------------------
5
//Top level Test class that instantiates env, configures and starts stimulus
6
//--------------------------------------------------------
7
class apb_base_test extends uvm_test;
8
9
  //Register with factory
10
  `uvm_component_utils(apb_base_test);
11
  
12
  apb_env  env;
13
  apb_config cfg;
14
  virtual apb_if vif;
15
  
16
  function new(string name = "apb_base_test", uvm_component parent = null);
17
    super.new(name, parent);
18
  endfunction
19
20
  //Build phase - Construct the cfg and env class using factory
21
  //Get the virtual interface handle from Test and then set it config db for the env component
22
  function void build_phase(uvm_phase phase);
23
  endfunction
24
25
  //Run phase - Create an abp_sequence and start it on the apb_sequencer
26
  task run_phase( uvm_phase phase );
27
    apb_base_seq apb_seq;
28
  endtask: run_phase
29
  
30
  
31
endclass
32
33
34
`endif
35
xxxxxxxxxx
1
 
1
// Code your design here
2
4245 views and 4 likes     
 
Skelton code for the APB Assignment project on www.verificationexcellence.in online course. Students can fill based on the course directions

Skelton code for the APB Assignment project on www.verificationexcellence.in online course. Students can fill based on the course directions

1210:0