Display/Strobe/Monitor - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

203


43
 
1
// Code your testbench here
2
// or browse Examples
3
4
module mysample();
5
  reg [31:0] a,b;
6
  reg [31:0] c[4];
7
  
8
  initial
9
    begin : nonblocking
10
      $monitor($time,"\tDisplaying using monitor a = %0h b = %0h",a,b);
11
      a = 0;
12
      #5;
13
      a <= 31'hAB;  // Non-blocking assignment, LHS is updated in INACTIVE region
14
      $display($time,"\tDisplaying using display a = %0h",a);
15
      $write($time,"\tDisplaying using write   a = %0h\n",a); // Explicit '\n'
16
      $strobe($time,"\tDisplaying using  strobe  a = %0h",a);
17
    end
18
  
19
  initial
20
    begin : blocking
21
      b = 0;
22
      #15;
23
      b = 31'hCD;   // Blocking assignment, LHS is updated in ACTIVE region
24
      $display($time,"\tDisplaying using display b = %0h",b);
25
      $write($time,"\tDisplaying using write   b = %0h\n",b); // Explicit '\n'
26
      $strobe($time,"\tDisplaying using  strobe  b = %0h",b);
27
    end
28
  
29
  initial
30
    begin: array
31
      #20;
32
      $display("Displaying ARRAY:");    // Note By-default '\n'
33
      for(integer i=0;i<4;i++)
34
        begin
35
        for(integer j=0;j<31;j++)
36
          begin
37
            c[i][j] = j^i;          // Assign any value
38
            $write ("%0h",c[i][j]); // Display row
39
          end
40
          $write("\n");             // For insertion of newline
41
        end
42
    end
43
endmodule
xxxxxxxxxx
1
 
1
// Code your design here
2
2690 views and 0 likes     
A short description will be helpful for you to remember your playground's details
 
100:0