Edit code - EDA Playground
Warning! This exercise has been opened in another tab; autosave has been disabled. Close this tab or refresh to reactivate.

 Languages & Libraries

 Tools & Simulators

 Examples

203


51
 
1
// Code your testbench here
2
3
interface some_interface();
4
  bit clk;
5
  logic some_signal;
6
endinterface
7
8
9
package some_package;
10
  
11
  class some_master_class;
12
    virtual some_interface vif;
13
    
14
    task do_something();
15
      @(posedge vif.clk);
16
      vif.some_signal <= 1;
17
      
18
      @(posedge vif.clk);
19
      vif.some_signal <= 0;
20
21
      @(posedge vif.clk);
22
    endtask
23
  endclass
24
    
25
endpackage
26
27
28
module top;
29
30
  import some_package::*;
31
32
  some_interface my_if();
33
  
34
  bit clk, some_signal;
35
  always #1 clk = ~clk;
36
  
37
  assign my_if.clk = clk;
38
  assign my_if.some_signal = some_signal;
39
40
41
  initial begin
42
    some_master_class master = new();    
43
    master.vif = my_if;
44
    
45
    master.do_something();
46
    
47
    $finish();
48
  end
49
50
endmodule
51
xxxxxxxxxx
1
 
1
// Code your design here
2
219 views and 1 likes     
A short description will be helpful for you to remember your playground's details
 
100:0